• AIPressRoom
  • Posts
  • An open-source gymnasium for machine studying assisted laptop structure design – Google Analysis Weblog

An open-source gymnasium for machine studying assisted laptop structure design – Google Analysis Weblog

Computer Architecture analysis has an extended historical past of growing simulators and instruments to judge and form the design of laptop methods. For instance, the SimpleScalar simulator was launched within the late Nineties and allowed researchers to discover varied microarchitectural concepts. Laptop structure simulators and instruments, reminiscent of gem5, DRAMSys, and plenty of extra have performed a big position in advancing laptop structure analysis. Since then, these shared sources and infrastructure have benefited business and academia and have enabled researchers to systematically construct on one another’s work, resulting in important advances within the discipline.

Nonetheless, laptop structure analysis is evolving, with business and academia turning in direction of machine studying (ML) optimization to satisfy stringent domain-specific necessities, reminiscent of ML for computer architecture, ML for TinyML accelerationDNN accelerator datapath optimization, memory controllers, power consumption, security, and privacy. Though prior work has demonstrated the advantages of ML in design optimization, the dearth of robust, reproducible baselines hinders honest and goal comparability throughout completely different strategies and poses a number of challenges to their deployment. To make sure regular progress, it’s crucial to grasp and deal with these challenges collectively.

To alleviate these challenges, in “ArchGym: An Open-Source Gymnasium for Machine Learning Assisted Architecture Design”, accepted at ISCA 2023, we launched ArchGym, which incorporates quite a lot of laptop structure simulators and ML algorithms. Enabled by ArchGym, our outcomes point out that with a sufficiently massive variety of samples, any of a various assortment of ML algorithms are able to find the optimum set of structure design parameters for every goal drawback; nobody answer is essentially higher than one other. These outcomes additional point out that deciding on the optimum hyperparameters for a given ML algorithm is crucial for locating the optimum structure design, however selecting them is non-trivial. We release the code and dataset throughout a number of laptop structure simulations and ML algorithms.

Challenges in ML-assisted structure analysis

ML-assisted structure analysis poses a number of challenges, together with:

  1. For a particular ML-assisted laptop structure drawback (e.g., discovering an optimum answer for a DRAM controller) there isn’t a systematic approach to establish optimum ML algorithms or hyperparameters (e.g., studying fee, warm-up steps, and so forth.). There’s a wider vary of ML and heuristic strategies, from random walk to reinforcement learning (RL), that may be employed for design space exploration (DSE). Whereas these strategies have proven noticeable efficiency enchancment over their selection of baselines, it isn’t evident whether or not the enhancements are due to the selection of optimization algorithms or hyperparameters.Thus, to make sure reproducibility and facilitate widespread adoption of ML-aided structure DSE, it’s crucial to stipulate a scientific benchmarking methodology.

  2. Whereas laptop structure simulators have been the spine of architectural improvements, there’s an rising want to handle the trade-offs between accuracy, pace, and value in structure exploration. The accuracy and pace of efficiency estimation extensively varies from one simulator to a different, relying on the underlying modeling particulars (e.g., cycleaccurate vs. MLbasedproxymodels). Whereas analytical or ML-based proxy fashions are nimble by advantage of discarding low-level particulars, they often undergo from excessive prediction error. Additionally, as a result of industrial licensing, there may be strict limits on the number of runs collected from a simulator. General, these constraints exhibit distinct efficiency vs. pattern effectivity trade-offs, affecting the selection of optimization algorithm for structure exploration. It’s difficult to delineate systematically examine the effectiveness of varied ML algorithms underneath these constraints.

  3. Lastly, the panorama of ML algorithms is quickly evolving and a few ML algorithms want information to be helpful. Moreover, rendering the end result of DSE into significant artifacts reminiscent of datasets is essential for drawing insights concerning the design house. On this quickly evolving ecosystem, it’s consequential to make sure amortize the overhead of search algorithms for structure exploration. It isn’t obvious, nor systematically studied leverage exploration information whereas being agnostic to the underlying search algorithm.

ArchGym design

ArchGym addresses these challenges by offering a unified framework for evaluating completely different ML-based search algorithms pretty. It includes two important parts: 1) the ArchGym setting and a couple of) the ArchGym agent. The setting is an encapsulation of the structure value mannequin — which incorporates latency, throughput, space, power, and so forth., to find out the computational value of working the workload, given a set of architectural parameters — paired with the goal workload(s). The agent is an encapsulation of the ML algorithm used for the search and consists of hyperparameters and a guiding coverage. The hyperparameters are intrinsic to the algorithm for which the mannequin is to be optimized and might considerably affect efficiency. The coverage, however, determines how the agent selects a parameter iteratively to optimize the goal goal.

Notably, ArchGym additionally features a standardized interface that connects these two parts, whereas additionally saving the exploration information because the ArchGym Dataset. At its core, the interface entails three important alerts: {hardware} state, {hardware} parameters, and metrics. These alerts are the naked minimal to determine a significant communication channel between the setting and the agent. Utilizing these alerts, the agent observes the state of the {hardware} and suggests a set of {hardware} parameters to iteratively optimize a (user-defined) reward. The reward is a perform of {hardware} efficiency metrics, reminiscent of efficiency, power consumption, and so forth. 

ML algorithms may very well be equally favorable to satisfy user-defined goal specs

Utilizing ArchGym, we empirically show that throughout completely different optimization goals and DSE issues, a minimum of one set of hyperparameters exists that leads to the identical {hardware} efficiency as different ML algorithms. A poorly chosen (random choice) hyperparameter for the ML algorithm or its baseline can result in a deceptive conclusion {that a} explicit household of ML algorithms is healthier than one other. We present that with adequate hyperparameter tuning, completely different search algorithms, even random walk (RW), are capable of establish the absolute best reward. Nevertheless, be aware that discovering the fitting set of hyperparameters could require exhaustive search and even luck to make it aggressive.

Dataset development and high-fidelity proxy mannequin coaching

Making a unified interface utilizing ArchGym additionally allows the creation of datasets that can be utilized to design higher data-driven ML-based proxy structure value fashions to enhance the pace of structure simulation. To guage the advantages of datasets in constructing an ML mannequin to approximate structure value, we leverage ArchGym’s capacity to log the information from every run from DRAMSys to create 4 dataset variants, every with a special variety of information factors. For every variant, we create two classes: (a) Various Dataset, which represents the information collected from completely different brokers (ACO, GA, RW, and BO), and (b) ACO solely, which exhibits the information collected completely from the ACO agent, each of that are launched together with ArchGym. We practice a proxy mannequin on every dataset utilizing random forest regression with the target to foretell the latency of designs for a DRAM simulator. Our outcomes present that:

  1. As we enhance the dataset measurement, the common normalized root mean squared error (RMSE) barely decreases.

  2. Nevertheless, as we introduce range within the dataset (e.g., gathering information from completely different brokers), we observe 9× to 42× decrease RMSE throughout completely different dataset sizes.

The necessity for a community-driven ecosystem for ML-assisted structure analysis

Whereas, ArchGym is an preliminary effort in direction of creating an open-source ecosystem that (1) connects a broad vary of search algorithms to laptop structure simulators in an unified and easy-to-extend method, (2) facilitates analysis in ML-assisted laptop structure, and (3) varieties the scaffold to develop reproducible baselines, there are plenty of open challenges that want community-wide assist. Under we define a number of the open challenges in ML-assisted structure design. Addressing these challenges requires a nicely coordinated effort and a neighborhood pushed ecosystem.

We name this ecosystem Architecture 2.0. We define the important thing challenges and a imaginative and prescient for constructing an inclusive ecosystem of interdisciplinary researchers to deal with the long-standing open issues in making use of ML for laptop structure analysis. In case you are all for serving to form this ecosystem, please fill out the interest survey.

Conclusion

ArchGym is an open supply gymnasium for ML structure DSE and allows an standardized interface that may be readily prolonged to go well with completely different use circumstances. Moreover, ArchGym allows honest and reproducible comparability between completely different ML algorithms and helps to determine stronger baselines for laptop structure analysis issues.

We invite the pc structure neighborhood in addition to the ML neighborhood to actively take part within the growth of ArchGym. We consider that the creation of a gymnasium-type setting for laptop structure analysis could be a big step ahead within the discipline and supply a platform for researchers to make use of ML to speed up analysis and result in new and revolutionary designs.

Acknowledgements

This blogpost relies on joint work with a number of co-authors at Google and Harvard College. We want to acknowledge and spotlight Srivatsan Krishnan (Harvard) who contributed a number of concepts to this undertaking in collaboration with Shvetank Prakash (Harvard), Jason Jabbour (Harvard), Ikechukwu Uchendu (Harvard), Susobhan Ghosh (Harvard), Behzad Boroujerdian (Harvard), Daniel Richins (Harvard), Devashree Tripathy (Harvard), and Thierry Thambe (Harvard).  As well as, we’d additionally wish to thank James Laudon, Douglas Eck, Cliff Younger, and Aleksandra Faust for his or her assist, suggestions, and motivation for this work. We might additionally wish to thank John Guilyard for the animated determine used on this submit. Amir Yazdanbakhsh is now a Analysis Scientist at Google DeepMind and Vijay Janapa Reddi is an Affiliate Professor at Harvard.